Discussion in "General help Guidance and Discussion" started by    whoami    Mar 17, 2016.
Thu Mar 17 2016, 10:41 am
#1
Hi, I'm having a problem about 74ls96 5-bit shift registers, the functions seems wrong.
When I try to make the clear/preset to 00 and 10 work fines
but when clear/preset is 01 the function should allow the q point to follow their respective inputs to a 1 or 0 and it's not happening also when the clear/preset is 11 it allows the q point to follow their respective inputs from a 0 to a 1 but not from a 1 to 0,
please help thanks.
sorry for the grammar.
Fri Mar 18 2016, 01:40 am
#2
Your grammar is fine.

Please post a Proteus file to demonstrate your problem.
 whoami like this.
Sat Mar 19 2016, 09:36 am
#3
Thank You


[ Edited Sat Mar 19 2016, 09:38 am ]
Sat Mar 19 2016, 09:36 am
#4


Your grammar is fine.

Please post a Proteus file to demonstrate your problem.

ExperimenterUK



Sir, I have another question, is the 74ls96 can only shift right? if yes how can i prove to my professor that it can't shift to the left ? Cause some of my classmates said that it can't then my professor said that if he can make it shift to the left he'll give them a failing grade. It is possible to make it shift left by adding some gates, and still I can insert 1 or 0 when shifting ? Thank you.
Sun Mar 20 2016, 03:17 am
#5
As I see it, the 74LS96 can only shift from input (pin 9)
to output 15 then 14 then 13 then 11 then 10.

I don't know if you call that a right shift or a left shift,
but it can only go one way.
Even after a parallel load the shift is in the same direction.

Adding extra gates could do anything to the output,
but it does not alter the action of the 74lLS96

Let us know if you get a fail
 whoami like this.
Sun Mar 20 2016, 09:05 am
#6


As I see it, the 74LS96 can only shift from input (pin 9)
to output 15 then 14 then 13 then 11 then 10.

I don't know if you call that a right shift or a left shift,
but it can only go one way.
Even after a parallel load the shift is in the same direction.

Adding extra gates could do anything to the output,
but it does not alter the action of the 74lLS96

Let us know if you get a fail

ExperimenterUK



Someone told me, to look at the data sheet of 74ls95 for some clues. I tried the 74ls95 and it shifts to the left by connecting Q3 to D2, Q2 to D1, Q1 to D0 and Serial in to D3, when I try to do the same thing with 74ls96 it still shifts to the right, maybe it's because when the Clear is 1 and the Preset is 1 it disable the changes from the Q points, and I can't change the Clear and Preset because it only shifts when it's 0 and 1 respectively. What should I do ? :c I just can't think of a way to make it shift like the 74LS95 do. . Thanks you sir for all the help. .


[ Edited Sun Mar 20 2016, 10:33 am ]
Mon Mar 21 2016, 03:27 am
#7


Someone told me, to look at the data sheet of 74ls95 for some clues.

whoami


Looks like your friend was right, the clue is in the data sheet.

This 74LS95 data sheet describes how to make it shift left, which it can't
really do.

The trick is to connect the outputs, to the load input, but one place out,
so QD to input C etc.
Then as the device is clocked, the pattern is shifted to the left.


Attachment
Mon Mar 21 2016, 06:21 am
#8



Someone told me, to look at the data sheet of 74ls95 for some clues.

whoami


Looks like your friend was right, the clue is in the data sheet.

This 74LS95 data sheet describes how to make it shift left, which it can't
really do.

The trick is to connect the outputs, to the load input, but one place out,
so QD to input C etc.
Then as the device is clocked, the pattern is shifted to the left.


Attachment

ExperimenterUK




In my case that's not happening . I've tried that but I can't make changes to the inputs so me connecting QD to input C won't do anything, it still shifts to the right . So, the 74ls96 can't really shift to the left ?
Mon Mar 21 2016, 02:32 pm
#9
I have not tried the parallel load method, but I assume it works.
Although that means the 7496 can be made to act as a left shift register
I don't think it is really a proper left shift register.
Most parallel load device could do the same thing.
 whoami like this.
Mon Mar 21 2016, 03:05 pm
#10
Sir, do you have any idea on how can I make it act as a left shift register ? Is it by parallel loading ? then how to make it shift ? it only shifts when the clear is low and preset is low right ? Thank you.

Get Social

Information

Powered by e107 Forum System

Downloads

Comments

Bobbyerilar
Thu Mar 28 2024, 08:08 am
pb58
Thu Mar 28 2024, 05:54 am
Clarazkafup
Thu Mar 28 2024, 02:24 am
Walterkic
Thu Mar 28 2024, 01:19 am
Davidusawn
Wed Mar 27 2024, 08:30 pm
Richardsop
Tue Mar 26 2024, 10:33 pm
Stevencog
Tue Mar 26 2024, 04:26 pm
Bernardwarge
Tue Mar 26 2024, 11:15 am